added Vector_zeroEnd() function
[util-vserver.git] / util-vserver / AUTHORS
1 Enrico Scholz <enrico.scholz@informatik.tu-chemnitz.de>